福州金嘉实业有限公司

福州金嘉实业有限公司

福州金嘉实业有限公司

李乔 副教授、博士生导师

香港城市大学,博士(2021)

研究方向:计算机存储系统与新型存储设备

电子邮件:liqiao@xmu.edu.cn

个人主页:

个人简历:

【研究领域】

为满足大容量、高性能、高密度和高可靠性的数据存储需求,申请人提出了一系列存储系统优化方法,研究成果在国际会议和期刊上发表了50余篇论文(第一作者和通讯作者共23篇),其中包括CCF推荐A类论文25篇,涵盖计算机体系结构与存储系统顶级会议MICRO、FAST、HPCA和DAC,权威期刊IEEE TC、ACM TOS和TCAD等。以第一作者或通讯作者身份,在存储领域重要会议中获得ACM HotStorage 2021最佳论文奖、IEEE NVMSA 2023最佳论文奖、IEEE ASP-DAC 2017最佳论文提名奖、以及2019年“阿里云-中国计算机学会存储委员会”优秀论文奖。目前主持的项目有国家自然科学基金青年项目、福州市自然科学基金青年项目以及存储相关横向项目。

招收博士、硕士研究生,也欢迎对科研感兴趣的本科同学。


【教育背景】

博士:香港城市大学 计算机科学2017.09–2021.07(导师:薛春副教授,郭大维院长)

硕士:重庆大学 计算机科学与技术2014.09–2017.06(导师:石亮教授,诸葛晴凤教授)

本科:重庆大学 信息安全 2010.09–2014.06


【工作经历】

(1)2022.02 – 至今,福州金嘉实业有限公司,副教授、博士生导师;

(2)2021.08 – 2022.02,博士后,香港城市大学;

(3)2020.09 – 2021.07,科研助理,香港城市大学。


【获奖情况】

1. IEEE NVMSA 2023最佳论文奖

2. ACM HotStorage 2021最佳论文奖

3. Outstanding Academic Performance Award, City University of Hong Kong, 2019年及2018年

4. Research Tuition Scholarship, City University of Hong Kong, 2019年及2018年

5. “阿里云-中国计算机学会存储专委会”优秀论文奖,2019年

6. IEEE ASP-DAC 2017最佳论文提名奖


【主持项目】

1. 国家自然科学基金委-青基,面向NVMe SSD存储系统的海量数据高效管理技术研究,2023-2025,在研

2. 福州市自然科学基金项目-青年项目,面向高密度3D闪存存储系统可靠性优化研究,2022-2024,在研

3. 横向-上海江波龙数字技术有限公司,FLASH算法仿真器技术合作项目,2022-2023,结题


【近期发表论文】

[1] Tianyu Ren, Qiao Li*, Min Ye and Chun Jason Xue: Read Disturb and Reliability: The Complete Story for 3D CT NAND Flash. 12th IEEE Non-Volatile Memory Systems and Applications Symposium (NVMSA 2023). (Best Paper Award)

[2] Jinheng Li, Qiao Li*, Qingan Li, Chun Jason Xue: Shared Dictionary Compression for Efficient Mobile Software Distribution. 29th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2023).

[3] Hongyang Dang, Xiangyu Yao, Zheng Wan, Qiao Li*: A Study of Invalid Programming in 3D QLC NAND Flash Memories. 15th USENIX Workshop on Hot Topics in Storage and File Systems (HotStorage 2023).

[4] Yajuan Du, Yuan Gao, Siyi Huang, Qiao Li*: LDPC Level Prediction Towards Read Performance of High-Density Flash Memories. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2023. (CCF-A)

[5] Yufei Cui, Yu Mao, Ziquan Liu, Qiao Li*, Antoni B Chan, Xue Liu, Tei-Wei Kuo, Chun Jason Xue: Variational Nested Dropout. IEEE Transactions on Pattern Analysis and Machine Intelligence, 2023. (CCF-A)

[6] Hongchao Du, Qiao Li*, Riwei Pan, Tei-Wei Kuo, Chun Jason Xue: Multi-Granularity Shadow Paging with NVM Write Optimization for Crash-Consistent Memory-Mapped I/O. 4 IEEE International Symposium on High-Performance Computer Architecture (HPCA 2023). (CCF-A)

[7] Yina Lv, Liang Shi, Qiao Li, Congming Gao, Yunpeng Song, Longfei Luo, Youtao Zhang: MGC: Multiple-Gray-Code for 3D NAND Flash based High-Density SSDs. IEEE International Symposium on High-Performance Computer Architecture (HPCA 2023). (CCF-A)

[8] Yajuan Du, Siyi Huang, Yao Zhou, Qiao Li*: Towards LDPC Read Performance of 3D Flash Memories with Layer-induced Error Characteristics. ACM Transactions on Design Automation of Electronic Systems(TODAES 2023)(CCF B)

[9] Kan Zhong, Wenlin Cui, Xin Chen, Qiao Li*, Zhe Yang, Youyou Lu, Xiaodan Yan, Siwei Luo, Qizhao Yuan, Keji Huang: Revisiting swapping in user-space with lightweight threading.  IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems(TCAD 2023)(CCF A).

[10] Qiao Li, Min Ye, Yufei Cui, Tianyu Ren, Tei-Wei Kuo, Chun Jason Xue: Resolving the Reliability Issues of Open Blocks for 3-D NAND Flash: Observations and Strategies. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2022. (CCF-A)

[11] Xuan Sun, Hu Wan, Qiao Li, Chia-Lin Yang, Tei-Wei Kuo, Chun Jason Xue: Rm-ssd: In-storage computing for large-scale recommendation inference. IEEE International Symposium on High-Performance Computer Architecture (HPCA 2022). (CCF-A)

[12] Min Ye, Qiao Li*, Congming Gao, Shun Deng, Tei-Wei Kuo, Chun Jason Xue: Stop unnecessary refreshing: extending 3D NAND flash lifetime with ORBER. CCF Transactions on High Performance Computing, 2022

[13] Yunpeng Song, Qiao Li, Yina Lv, Changlong Li, Liang Shi: DWR: differential wearing for read performance optimization on high-density NAND flash memory. Design, Automation & Test in Europe Conference & Exhibition (DATE 2022). (CCF-B)

[14] Yajuan Du, Yuan Gao, Qiao Li: Work-in-Progress: Prediction-based Fine-Grained LDPC Reading to Enhance High-Density Flash Read Performance. ACM International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES 2022). (CCF-C)

[15] Yufei Cui, Shangyu Wu, Qiao Li*, Antoni B Chan, Tei-Wei Kuo, Chun Jason Xue: Bits-Ensemble: Toward Light-Weight Robust Deep Ensemble by Bits-Sharing. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2022. (CCF-A)

[16] Qiao Li, Min Ye, Tei-Wei Kuo, Chun Jason Xue. How the common retention acceleration method of 3D NAND flash memory goes wrong? 13th USENIX Workshop on Hot Topics in Storage and File Systems (HotStorage 2021). (Best Paper Award)

[17] Yufei Cui, Qiao Li, Tei-Wei Kuo, Chun Jason Xue. Online Rare Category Identification and Data Diversification for Edge Computing. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2021. (CCF-A)

[18] Yufei Cui, Ziquan Liu, Qiao Li*, Antoni B Chan, Chun Jason Xue. Bayesian Nested Neural Networks for Uncertainty Calibration and Adaptive Compression. IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR 2021). (CCF-A)

[19] Chao Wu, Qiao Li, Cheng Ji, Tei-Wei Kuo, Chun Jason Xue. Boosting User Experience via Foreground-Aware Cache Management in UFS Mobile Devices. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2020. (CCF-A)

[20] Yu Liang, Cheng Ji, Chenchen Fu, Rachata Ausavarungnirun, Qiao Li, Riwei Pan, Siyu Chen, Liang Shi, Tei-Wei Kuo, Chun Jason Xue. iTRIM: I/O-Aware TRIM for Improving User Experience on Mobile Devices. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2020. (CCF-A)

[21] Min Ye, Qiao Li, Jianqiang Nie, Tei-Wei Kuo, Chun Jason Xue. Valid window: a new metric to measure the reliability of NAND flash memory. Design, Automation & Test in Europe Conference & Exhibition (DATE 2020). (CCF-B)

[22] Yufei Cui, Wuguannan Yao, Qiao Li, Antoni B Chan, Chun Jason Xue. Accelerating Monte Carlo Bayesian Prediction via Approximating Predictive Uncertainty Over the Simplex. IEEE Transactions on Neural Networks and Learning Systems (TNNLS), 2020. (CCF-B)

[23] Qiao Li, Min Ye, Yufei Cui, Liang Shi, Xiaoqiang Li, Tei-Wei Kuo, Chun Jason Xue. Shaving Retries with Sentinels for Fast Read over High-Density 3D Flash. 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2020). (CCF-A, AR: 66/422=15.6%)

[24] Yina Lv, Liang Shi, Qiao Li, Chun Jason Xue, Edwin H-M Sha. Access characteristic guided partition for read performance improvement on solid state drives. 57th ACM/IEEE Design Automation Conference (DAC 2020). (CCF-A, AR: 228/984=23.17%)

[25] Yufei Cui, Ziquan Liu, Wuguannan Yao, Qiao Li, Antoni B Chan, Tei-wei Kuo, Chun Jason Xue. Fully Nested Neural Network for Adaptive Compression and Quantization. 28th International Joint Conference on Artificial Intelligence (IJCAI 2020). (CCF-A)

[26] Congming Gao, Liang Shi, Qiao Li, Kai Liu, Chun Jason Xue, Jun Yang, Youtao Zhang: Aging Capacitor Supported Cache Management Scheme for Solid-State Drives. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2019. (CCF-A)

[27] Chao Wu, Cheng Ji, Qiao Li, Congming Gao, Riwei Pan, Chenchen Fu, Liang Shi, Chun Jason Xue: Maximizing I/O Throughput and Minimizing Performance Variation via Reinforcement Learning Based I/O Merging for SSDs. IEEE Transactions on Computers (TC), 2019. (CCF-A)

[28] Qiao Li, Liang Shi, Jun Yang, Youtao Zhang, Chun Jason Xue: Leveraging Approximate Data for Robust Flash Storage. 56th ACM/IEEE Design Automation Conference (DAC 2019). (CCF-A, AR: 18.9%)

[29] Qiao Li, Min Ye, Yufei Cui, Liang Shi, Xiaoqiang Li, Chun Jason Xue: Sentinel Cells Enabled Fast Read for NAND Flash. 11th USENIX Workshop on Hot Topics in Storage and File Systems (HotStorage 2019).

[30] Qiao Li, Liang Shi, Yejia Di, Congming Gao, Cheng Ji, Yu Liang, Chun Jason Xue: Process Variation Aware Read Performance Improvement for LDPC-Based nand Flash Memory. IEEE Transactions on Reliability (TR), 2019.

[31] Qiao Li, Liang Shi, Yufei Cui, Chun Jason Xue: Exploiting Asymmetric Errors for LDPC Decoding Optimization on 3D NAND Flash Memory. IEEE Transactions on Computers (TC), 2019. (CCF-A)

[32] Congming Gao, Min Ye, Qiao Li, Chun Jason Xue, Youtao Zhang, Liang Shi, Jun Yang: Constructing Large, Durable and Fast SSD System via Reprogramming 3D TLC Flash Memory. 52nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2019). (CCF-A)

[33] Yufei Cui, Qiao Li, Sarana Nutanong, Chun Jason Xue: Online Rare Category Detection for Edge Computing. Design, Automation & Test in Europe Conference & Exhibition (DATE 2019). (CCF-B)

[34] Yu Liang, Qiao Li, Chun Jason Xue: Mismatched Memory Management of Android Smartphones. 11th USENIX Workshop on Hot Topics in Storage and File Systems (HotStorage 2019)

[35] Cheng Ji, Lun Wang, Qiao Li, Congming Gao, Liang Shi, Chia-Lin Yang, Chun Jason Xue: Fair Down to the Device: A GC-Aware Fair Scheduler for SSD. IEEE 8th Non-Volatile Memory Systems and Applications Symposium (NVMSA 2019).

[36] Yina Lv, Liang Shi, Qiao Li, Congming Gao, Chun Jason Xue, Edwin Hsing-Mean Sha: Optimizing Tail Latency of LDPC based Flash Memory Storage Systems Via Smart Refresh. IEEE International Conference on Networking, Architecture and Storage (NAS 2019).

[37] Qiao Li, Liang Shi, Riwei Pan, Cheng Ji, Xiaoqiang Li, Chun Jason Xue: Selective Compression Scheme for Read Performance Improvement on Flash Devices. IEEE International Conference on Computer Design (ICCD 2018). (CCF-B, AR: 66/228=28.9%)

[38] Qiao Li, Liang Shi, Congming Gao, Yejia Di, Chun Jason Xue: Access Characteristic Guided Read and Write Regulation on Flash Based Storage Systems. IEEE Transactions on Computers (TC), 2018. (CCF-A)

[39] Huizhang Luo, Liang Shi, Qiao Li, Chun Jason Xue, Edwin Hsing-Mean Sha: Energy, latency, and lifetime improvements in MLC NVM with enhanced WOM code. 23rd Asia and South Pacific Design Automation Conference (ASP-DAC 2018). (CCF-C)

[40] Yejia Di, Liang Shi, Congming Gao, Qiao Li, Chun Jason Xue, Kaijie Wu: Minimizing Retention Induced Refresh Through Exploiting Process Variation of Flash Memory. IEEE Transactions on Computers (TC), 2018. (CCF-A)

[41] Chao Wu, Cheng Ji, Qiao Li, Chenchen Fu, Chun Jason Xue: Maximizing I/O throughput and minimizing performance variation via reinforcement learning based I/O merging for SSDs: work-in-progress. International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES 2018). (CCF-C)

[42] Yejia Di, Liang Shi, Congming Gao, Qiao Li, Chun Jason Xue: Revisiting wear leveling design on compression applied 3D NAND flash memory: work-in-progress. International Conference on Hardware/Software Codesign and System Synthesis (CODES+ ISSS 2018). (CCF-B)

[43] Yejia Di, Liang Shi, Congming Gao, Qiao Li, Kaijie Wu, Chun Jason Xue: Loss is Gain: Shortening Data for Lifetime Improvement on Low-Cost ECC Enabled Consumer-Level Flash Memory. ACM Great Lakes Symposium on VLSI (GLVLSI 2018). (CCF-C)

[44] Huizhang Luo, Qing Liu, Jingtong Hu, Qiao Li, Liang Shi, Qingfeng Zhuge, Edwin H-M Sha: Write Energy Reduction for PCM via Pumping Efficiency Improvement. ACM Transactions on Storage (TOS), 2018. (CCF-A)

[45] Congming Gao, Liang Shi, Yejia Di, Qiao Li, Chun Jason Xue, Edwin Hsing-Mean Sha: An Efficient Cache Management Scheme for Capacitor Equipped Solid State Drives. ACM Great Lakes Symposium on VLSI (GLVLSI 2018). (CCF-C)


【代表性专利】

1.一种基于闪存错误校验的读写调制方法,申请号:CN201510014945.3 ,授权公告号:CN104575618B,发明人:石亮,李乔,高聪明,吴剀劼,诸葛晴凤,沙行勉(授权)

2.一种数据读取方法及装置,申请号:CN201611239752.9,发明人:石亮,李乔,王元钢(授权)

3.METHOD AND APPARATUS FOR ACCESSING FLASH MEMORY DEVICE,申请号: PCT/CN2016/074140,发明人:石亮,薛春,李乔,单东方,徐君,王元钢(授权)

4.METHOD FOR READING DATA, AND FLASH MEMORY DEVICE,申请号:PCT/CN2016/113085 ,发明人:常乐,石亮,李乔,王元钢(授权)

5. 一种数据读取的方法及闪存控制器,申请号:CN201710698122.6,发明人:石亮,李乔,底晔佳,戴芬,王元钢(授权)

6.3d闪存中阈值电压的调整方法、系统以及3d闪存,中国专利申请号:CN110322907A, 发明人:薛春,李乔,石亮,李晓明,吴大畏(授权)

7.一种闪存设备的访问方法和装置,申请号:CN201680000818.0,发明人:石亮,薛春,李乔,单东方,徐君,王元钢

8.一种闪存制程差异的检测方法,申请号:CN201710600498.9,发明人:石亮,李乔,薛春

9.一种基于闪存制程差异的数据布局方法,申请号:CN201710601039.2,发明人:石亮,李乔,薛春

10.一种在线挖掘闪存制程差异现象优化刷新的方法,申请号:CN201711068052.2,发明人:石亮,底晔佳,高聪明,李乔,吴剀劼,沙行勉

11.一种闪存中近似数据存储方法,申请号:CN201910164738.4,发明人:李乔,石亮,薛春